Search found 14 matches

by qinli198
Thu Sep 22, 2022 12:19 pm
Forum: ESP-ADF 中文讨论版
Topic: 求助:开发板ESP32-Korvo V1.1在进行Audio Front-end 框架处理时双MIC算法后音频不正常
Replies: 0
Views: 2036

求助:开发板ESP32-Korvo V1.1在进行Audio Front-end 框架处理时双MIC算法后音频不正常

使用开发板ESP32-Korvo V1.1运行ESP-Skainet 示例voice_communication时使用默认的一个mic+一个ref的方式时 afe_handle = &ESP_AFE_VC_HANDLE; afe_config_t afe_config = AFE_CONFIG_DEFAULT(); afe_config.vad_init = false; afe_config.wakenet_init = false; afe_config.voice_communication_init = true; 运行结果AFE处理结果是正常的,但是开发板是3-MIC阵列修改代码测试...
by qinli198
Tue Jun 14, 2022 7:58 am
Forum: ESP-ADF 中文讨论版
Topic: 求助:当algorithm_stream的配置为ALGORITHM_STREAM_INPUT_TYPE2 时用法
Replies: 6
Views: 4629

Re: 求助:当algorithm_stream的配置为ALGORITHM_STREAM_INPUT_TYPE2 时用法

通过源代码阅读最后终于解决问题,voip系统可以正常对讲了,回音杂音都满足了需要。唯一遗憾是es8388贴片时选错为es8388s需要自己全部手动更换,好在只有100片。
最后重点:voip系统播放和录音只能是单声道,并且播放和录音i2s_stream的sample_rate最好是16000。
by qinli198
Tue Jun 14, 2022 7:45 am
Forum: ESP-ADF 中文讨论版
Topic: algorithm示例中algo_stream_get_multi_input_rb函数找不到
Replies: 3
Views: 2431

Re: algorithm示例中algo_stream_get_multi_input_rb函数找不到

algo_stream_get_multi_input_rb这个函数在algorithm_stream中esp-adf2.3中存,esp-adf2.4版本中被淘汰了从升级日志中可以看到。2.4版本获取input_rb交给audio_element类中的audio_element_get_multi_input_ringbuf函数来完成相应功能。
by qinli198
Mon Jun 13, 2022 3:38 am
Forum: ESP-ADF 中文讨论版
Topic: algorithm示例中algo_stream_get_multi_input_rb函数找不到
Replies: 3
Views: 2431

Re: algorithm示例中algo_stream_get_multi_input_rb函数找不到

原来这个示例代码是争对 esp-adfv2.3。可以结束了。
by qinli198
Sat Jun 11, 2022 2:50 am
Forum: ESP-ADF 中文讨论版
Topic: 求助:当algorithm_stream的配置为ALGORITHM_STREAM_INPUT_TYPE2 时用法
Replies: 6
Views: 4629

Re: 求助:当algorithm_stream的配置为ALGORITHM_STREAM_INPUT_TYPE2 时用法

自己顶一下修改采用频率
i2s_cfg.i2s_config.sample_rate=16000,程序已经能够正常运行,但是回音没有消除。
by qinli198
Fri Jun 10, 2022 12:46 pm
Forum: ESP-ADF 中文讨论版
Topic: 求助:当algorithm_stream的配置为ALGORITHM_STREAM_INPUT_TYPE2 时用法
Replies: 6
Views: 4629

求助:当algorithm_stream的配置为ALGORITHM_STREAM_INPUT_TYPE2 时用法

经过仔细阅读algorithm_stream的低版本源码和升级注释后搞明白。algorithm_stream只能处理 sample_rate=16000的采样频率,超过这个频率的会报内存错误。现在把i2c_stream的采样改为sample_rate=16000程序可以正常运行了,唯一遗憾就是algo_config.input_type = ALGORITHM_STREAM_INPUT_TYPE2的这种模式,回音消除几乎没有用,不知道哪儿还需要调整。 ............................................... +-----------+ .............
by qinli198
Fri Jun 10, 2022 12:33 pm
Forum: ESP-ADF 中文讨论版
Topic: algorithm示例中algo_stream_get_multi_input_rb函数找不到
Replies: 3
Views: 2431

algorithm示例中algo_stream_get_multi_input_rb函数找不到

esp-adf/examples/advanced_examples/algorithm示例中当主板是 #if defined CONFIG_ESP_LYRAT_V4_3_BOARD //Please reference the way of ALGORITHM_STREAM_INPUT_TYPE2 in "algorithm_stream.h" ringbuf_handle_t input_rb = algo_stream_get_multi_input_rb(element_algo); audio_element_set_multi_output_ringbuf(i2s_stream_w...
by qinli198
Thu May 26, 2022 10:21 am
Forum: ESP-ADF 中文讨论版
Topic: 录音噪音偏大音量偏小的问题
Replies: 2
Views: 3654

录音噪音偏大音量偏小的问题

模块esp32-wrover-e + es8388S 开发voip对讲,播放声音很好声音清晰没有噪音, 没有说话的时候背景噪音比较大。 但是话筒人说话时距离话筒近一点,声音大一点说话没问题还是比较清晰的,距离远一点声音一下就很小了,不是按距离衰减的。 请问这个芯片录音部分有哪些参数 可以音量增大。灵敏度高一点。 esp_err_t es8388_init(audio_hal_codec_config_t *cfg) { int res = 0; #ifdef CONFIG_ESP_LYRAT_V4_3_BOARD // headphone_detect_init(get_headphone_d...
by qinli198
Thu May 26, 2022 6:24 am
Forum: ESP-ADF 中文讨论版
Topic: 求助:使用esp-adf下面的smart config模式使用EspTouch配网一点反应都没有。
Replies: 2
Views: 2913

Re: 求助:使用esp-adf下面的smart config模式使用EspTouch配网一点反应都没有。

找到使用 esptouch和airkiss配网失败的问题了: esp-adf2.4下的配网例子都是有问题的。 esp-idf4.4/examples/wifi/smart_config的配网例子可以使用但是有手机收不到回应的问题, 需修改idf4.4目录下的smartconfig_ack.c第124行的代码 if (setsockopt(send_sock, SOL_SOCKET, SO_BROADCAST | SO_REUSEADDR, &optval, sizeof(int)) < 0) { ESP_LOGE(TAG, "setsockopt failed"); goto _end; } ...
by qinli198
Tue May 24, 2022 9:53 am
Forum: ESP-ADF 中文讨论版
Topic: 请问:有没有es8388S的codec代码
Replies: 2
Views: 2309

Re: 请问:有没有es8388S的codec代码

找到问题了,ES8388S和es8388比较es8388s只有一路左右声道输出,但是音量控制又是放在es8388的第二路输出上面所以原来的音量控制函数esp_err_t es8388_set_voice_volume(int volume) { esp_err_t res = ESP_OK; if (volume < 0) volume = 0; else if (volume > 100) volume = 100; volume /= 3; res = es_write_reg(ES8388_ADDR, ES8388_DACCONTROL24, volume); res |= es_wri...